Webinar Series FPGA Verification Made Modern 2024

FPGA technologies are developing rapidly in terms of complexity. More and more functions are being implemented and the structures are becoming more and more complex. More complexity means more time for verification - with traditional simulation approaches.

Also in this year's webinar series "FPGA Verification Made Modern" we present modern verification methods and tools with which the time-consuming verification effort can be reduced, with higher quality and a lower error rate even before the prototype!

Renowned experts from the FPGA field report from practice and present methods and solution approaches that make your life easier in FPGA design and verification.

Register now to benefit from the concentrated knowledge of the experts in your practice!

Hans-Jürgen Schwender
Technischer Leiter
TRIAS mikroelektronik

Logo Trias
  • 30 April 2024 14:00 - 15:00 CET :

Introducing Sequential Logic Equivalence Checking (SLEC)
An automatic formal verification approach that requires no expert knowledge

Everyone has most likely heard about Logical Equivalence Checking (LEC) aka  Combinational LEC, as a way of formally proving that two implementations of a design description are logically equivalent. For Combinational LEC there is a prerequisite for both design descriptions that are subject to comparison: The number of states of both design descriptions have to be the same, meaning that they must have the same number or registers. Most common use case is to check a netlist against the RTL code from which the netlist has been synthesized.
Especially in safety critical applications this often is mandatory to prove for a certification, but even without that requirement it is always good practice to do so, and for a comprehensive verification simulation is no option because it would take too long.
LEC is one of the automatic formal verification apps which can be run on the design without the need to create a testbench or the like. In former presentations we had introduced other automatic formal apps, like Questa CDC or Questa Formal Autocheck as examples for automatic formal apps.

In this webinar Hans-Jürgen Schwender  will focus on Sequential Logical Equivalence Checking, which is another automatic formal verification app. We will learn about the difference to Combinatorial LEC and also look at some use cases for SLEC and understand how SLEC helps saving lot of simulation time that you’d have to spend if you’d have to rely on simulation. With Siemens EDA’s Questa Equivalent RTL App we have a powerful formal solution that can be used to easily and quickly run the equivalence check, and it also provides the tools for debugging if both implementations are not equivalent. 

Please use your company e-mail address for registration. Registrations with private e-mail address will not be admitted.

Select Columns Layout
Insert Content Template or Symbol

Alex Sayer
Application Engineer
Saros Technology

  • 14 May 2024 14:00 - 15:00 CET

From ModelSim to Questa Base

Siemens latest entry into the Questa Simulation family - Questa Base, provides all of the benefits of their flagship simulator at the most affordable price yet. In this webinar Alex Sayer will provide an overview of what enhancements and new functionality users of ModelSim can expect if they look to migrate to Questa Base.

Questa Base is the new simulator from Siemens EDA that packs unprecedented verification capabilities in a cost-effective HDL simulation solution. It’s aimed as an upgrade option for ModelSim users, and alongside improved simulation performance includes functionality from the rest of the QuestaSim family such as: 64-bit Linux and Windows support, advanced optimisation, superior debugging (Questa Visualizer), and more.

Please use your company e-mail address for registration. Registrations with private e-mail address will not be admitted.

Select Columns Layout
Insert Content Template or Symbol

Rachid Laaris
Product Manager
Cadlog

Cadlog Logo
  • 21 May 2024 14:00 - 15:00 CET

Visualizer Mastery: Advanced Debugging Techniques for Seamless Logic Verification in Modern Designs

In today's complex design landscape, bridging the productivity gap between design and verification is critical. This involves maximizing verification environment reusability, enhancing automation, and elevating abstraction levels. To meet these challenges effectively, a debugger with superior performance and context-aware capabilities is essential for seamless logic verification.

Join Rachid Laaris for an enlightening session where we explore various debugging techniques for RTL source code, with Visualizer.

Please use your company e-mail address for registration. Registrations with private e-mail address will not be admitted.

Select Columns Layout
Insert Content Template or Symbol

Faïçal Chtourou
Field Application Engineer
Siemens EDA

Siemens Logo
  • 04 June 2024 14:00 - 15:00 CET

Maximizing Early Bug Detection with Questa Design Solution in CI flow

In this presentation Faïçal Chtourou focuses on empowering designers to enhance the quality of their code deliveries without the hassle of creating testbenches and conducting tests for every block. By ensuring high quality designs, verifiers can focus on debugging more complex issues rather than trivial ones. Questa Design Solutions aims to assist designers in swiftly identifying issues, ensuring code repository stability, and improving the quality of deliveries to other teams. By integrating these tools into a continuous integration flow, automatic and regular code checks can be enabled, resulting in improved efficiency and greater schedule predictability through easily understandable metrics.

Please use your company e-mail address for registration. Registrations with private e-mail address will not be admitted.

Select Columns Layout
Insert Content Template or Symbol

Neil Rattray
Field Application Engineer
Siemens EDA

Siemens Logo
  • 11 June 2024 14:00 - 15:00 CET

Ensuring complex connectivity meets intent and specification

Modern designs have a number of complex challenges:

  • A limited number of device pins available which often requires complex multiplexing of signals
  • Multiple clock and reset domains are needed and need to ensure these are connected correctly
  • Multiple power domains
  • System-wide internal buses
  • External communications interfaces
  • Test logic and its isolation


Each of these challenges need to be verified to make sure that there are no functional issues that reach the field. In this Webinar Neil Rattray will talk about how to easily verify these to give confidence in the implementation.

Please use your company e-mail address for registration. Registrations with private e-mail address will not be admitted.

Select Columns Layout
Insert Content Template or Symbol
Select Columns Layout
Select Columns Layout
Select Columns Layout
Select Columns Layout
Select Columns Layout